Integrated Circuits and Systems group, IIT Madras

Differences

This shows you the differences between two versions of the page.

Link to this comparison view

Both sides previous revision Previous revision
Next revision
Previous revision
Next revision Both sides next revision
courses:ee6361_2019:start [2019/01/25 10:42]
janakiraman [Class 1 (18 Jan 2018)]
courses:ee6361_2019:start [2019/03/22 06:50]
janakiraman
Line 49: Line 49:
   * Flip flop   * Flip flop
   * 6T SRAM basics   * 6T SRAM basics
 +
 +===== Class 2 (25 Jan 2019) =====
 +  * 6T SRAM cell
 +  * Static/ Read and Write noise margins
 +  * Read/ Write/ Hold and Access failures
 +  * Column interleaving
 +
 +[[http://​www.ee.iitm.ac.in/​~janakiraman/​courses/​EE6361/​Jan-2019/​material/​20190125_IITM_C1.pdf|Lecture Slides]]
 +
 +===== Class 3 (1 Feb 2019) =====
 +  * Alternative Cell Types
 +  - Split word line with single ended read
 +  - Assymetric cells
 +  - Decouple Read/Write Cells (8T Cells)
 +  - Regenerative Feedback
 +  * Impact of Variation
 +
 +[[http://​www.ee.iitm.ac.in/​~janakiraman/​courses/​EE6361/​Jan-2019/​material/​20190201_IITM_SRAM_C2.pdf|Lecture Slides]]
 +
 +===== Class 4 (8 Feb 2019) =====
 +  * Redundancy
 +  * Modes of failure
 +  * Assist Circuits
 +
 +
 +[[http://​www.ee.iitm.ac.in/​~janakiraman/​courses/​EE6361/​Jan-2019/​material/​20190208_IITM_SRAM_C3.pdf|Lecture Slides]]
 +
 +===== Class 5 (15 Feb 2019) =====
 +  * BTI Stress
 +  * Memory Testing
 +  * Power
 +
 +
 +[[http://​www.ee.iitm.ac.in/​~janakiraman/​courses/​EE6361/​Jan-2019/​material/​20190215_IITM_SRAM_C4.pdf|Lecture Slides]]
 +
 +===== Class 6 (22 Feb 2019) =====
 +  * Variation characterization
 +
 +
 +[[http://​www.ee.iitm.ac.in/​~janakiraman/​courses/​EE6361/​Jan-2019/​material/​20190222_IITM_SRAM_C5.pdf|Lecture Slides]]
 +
 +===== Class 7 (1 Mar 2019) =====
 +  * Variation characterization (continued ...)
 +
 +
 +[[http://​www.ee.iitm.ac.in/​~janakiraman/​courses/​EE6361/​Jan-2019/​material/​20190301_IITM_SRAM_C6.pdf|Lecture Slides]]
 +
 +===== Class 8 (8 Mar 2019) =====
 +  * Course project description - In Memory Computing
 +
 +
 +===== Class 9 (15 Mar 2019) =====
 +  * Basics of DRAM
 +  * Definition of Embedded
 +  * Requirement for short BLs in DRAMs
 +  * Transfer ratio 
 +  * Retention time/ Refresh rate analysis
 +  * Power supplies required for eDRAM
 +  * Advantages of eDRAM over eSRAM
 +
 +[[https://​goo.gl/​forms/​FG3QAKgnXLlHb4bB3|In class Quiz]]
 +
 +[[http://​www.ee.iitm.ac.in/​~janakiraman/​courses/​EE6361/​Jan-2018/​material/​EE6361-eDRAM-Janakiraman-2018.pdf|eDRAM Lecture Slides (2018)]]
 +
 +===== Class 10 (22 Mar 2018) =====
 +  * Write time calculation
 +  * Hierarchical sensing
 +  * 3T Micro Sense Amp
 +  * Micro Sense Amp Evolution
 +
 +Barth, J. et al., “A 500 MHz Random Cycle, 1.5 ns Latency, SOI Embedded DRAM Macro Featuring a Three-Transistor Micro Sense Amplifier,​” IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 43, NO. 1, JANUARY 2008. [[http://​ieeexplore.ieee.org/​document/​4443182/​|PDF]]
 +
 +[[https://​forms.gle/​7fZHaL8i231yZ9VW7|In class quiz]]
 +
 +