Integrated Circuits and Systems group, IIT Madras

Differences

This shows you the differences between two versions of the page.

Link to this comparison view

Both sides previous revision Previous revision
Next revision
Previous revision
Next revision Both sides next revision
courses:ee6361_2019:start [2019/02/11 08:32]
janakiraman [Class 4 (8 Feb 2019)]
courses:ee6361_2019:start [2019/02/12 06:15]
janakiraman [Class 4 (8 Feb 2019)]
Line 59: Line 59:
  
 ===== Class 3 (1 Feb 2019) ===== ===== Class 3 (1 Feb 2019) =====
-  * 6T SRAM cell +  * Alternative Cell Types 
-  ​* Static/ Read and Write noise margins +  ​- Split word line with single ended read 
-  ​Read/ Write/ Hold and Access failures +  ​- Assymetric cells 
-  * Column interleaving+  - Decouple ​Read/​Write ​Cells (8T Cells) 
 +  - Regenerative Feedback 
 +  * Impact of Variation
  
 [[http://​www.ee.iitm.ac.in/​~janakiraman/​courses/​EE6361/​Jan-2019/​material/​20190201_IITM_SRAM_C2.pdf|Lecture Slides]] [[http://​www.ee.iitm.ac.in/​~janakiraman/​courses/​EE6361/​Jan-2019/​material/​20190201_IITM_SRAM_C2.pdf|Lecture Slides]]
  
 ===== Class 4 (8 Feb 2019) ===== ===== Class 4 (8 Feb 2019) =====
-  * 6T SRAM cell +  * Redundancy 
-  * Static/ Read and Write noise margins +  * Modes of failure 
-  * Read/ Write/ Hold and Access failures +  * Assist Circuits 
-  * Column interleaving+
  
 [[http://​www.ee.iitm.ac.in/​~janakiraman/​courses/​EE6361/​Jan-2019/​material/​20190208_IITM_SRAM_C3.pdf|Lecture Slides]] [[http://​www.ee.iitm.ac.in/​~janakiraman/​courses/​EE6361/​Jan-2019/​material/​20190208_IITM_SRAM_C3.pdf|Lecture Slides]]