Integrated Circuits and Systems group, IIT Madras

Differences

This shows you the differences between two versions of the page.

Link to this comparison view

Both sides previous revision Previous revision
Next revision
Previous revision
Next revision Both sides next revision
courses:ee6361_2019:start [2019/01/11 05:35]
janakiraman [Course Objective]
courses:ee6361_2019:start [2019/03/16 06:20]
janakiraman
Line 22: Line 22:
   *  Introduce students to some relevant advanced topics of current interest in academia and industry   *  Introduce students to some relevant advanced topics of current interest in academia and industry
   *  Give the students a feel for research topics   *  Give the students a feel for research topics
-  *  Make students aware of work happening in India+  *  Make students aware of work happening ​in industries, specifically ​in India
 This course will cover three broad subjects: This course will cover three broad subjects:
   - SRAM design (Rahul Rao)   - SRAM design (Rahul Rao)
Line 43: Line 43:
   *  Calculate the voltage levels of operation of various components for an eDRAM   *  Calculate the voltage levels of operation of various components for an eDRAM
   *  Introduce stacked protect devices to reduce voltage stress of the WL driver   *  Introduce stacked protect devices to reduce voltage stress of the WL driver
 +
 +===== Class 1 (18 Jan 2019) =====
 +  * Memory hierarchy ​
 +  * Memory organization
 +  * Flip flop
 +  * 6T SRAM basics
 +
 +===== Class 2 (25 Jan 2019) =====
 +  * 6T SRAM cell
 +  * Static/ Read and Write noise margins
 +  * Read/ Write/ Hold and Access failures
 +  * Column interleaving
 +
 +[[http://​www.ee.iitm.ac.in/​~janakiraman/​courses/​EE6361/​Jan-2019/​material/​20190125_IITM_C1.pdf|Lecture Slides]]
 +
 +===== Class 3 (1 Feb 2019) =====
 +  * Alternative Cell Types
 +  - Split word line with single ended read
 +  - Assymetric cells
 +  - Decouple Read/Write Cells (8T Cells)
 +  - Regenerative Feedback
 +  * Impact of Variation
 +
 +[[http://​www.ee.iitm.ac.in/​~janakiraman/​courses/​EE6361/​Jan-2019/​material/​20190201_IITM_SRAM_C2.pdf|Lecture Slides]]
 +
 +===== Class 4 (8 Feb 2019) =====
 +  * Redundancy
 +  * Modes of failure
 +  * Assist Circuits
 +
 +
 +[[http://​www.ee.iitm.ac.in/​~janakiraman/​courses/​EE6361/​Jan-2019/​material/​20190208_IITM_SRAM_C3.pdf|Lecture Slides]]
 +
 +===== Class 5 (15 Feb 2019) =====
 +  * BTI Stress
 +  * Memory Testing
 +  * Power
 +
 +
 +[[http://​www.ee.iitm.ac.in/​~janakiraman/​courses/​EE6361/​Jan-2019/​material/​20190215_IITM_SRAM_C4.pdf|Lecture Slides]]
 +
 +===== Class 6 (22 Feb 2019) =====
 +  * Variation characterization
 +
 +
 +[[http://​www.ee.iitm.ac.in/​~janakiraman/​courses/​EE6361/​Jan-2019/​material/​20190222_IITM_SRAM_C5.pdf|Lecture Slides]]
 +
 +===== Class 7 (1 Mar 2019) =====
 +  * Variation characterization (continued ...)
 +
 +
 +[[http://​www.ee.iitm.ac.in/​~janakiraman/​courses/​EE6361/​Jan-2019/​material/​20190301_IITM_SRAM_C6.pdf|Lecture Slides]]
 +
 +===== Class 8 (8 Mar 2019) =====
 +  * Course project description - In Memory Computing
 +
 +
 +===== Class 9 (15 Mar 2019) =====
 +  * Basics of DRAM
 +  * Definition of Embedded
 +  * Requirement for short BLs in DRAMs
 +  * Transfer ratio 
 +  * Retention time/ Refresh rate analysis
 +  * Power supplies required for eDRAM
 +  * Advantages of eDRAM over eSRAM
 +
 +[[https://​goo.gl/​forms/​FG3QAKgnXLlHb4bB3|In class Quiz]]
 +[[http://​www.ee.iitm.ac.in/​~janakiraman/​courses/​EE6361/​Jan-2018/​material/​EE6361-eDRAM-Janakiraman-2018.pdf|eDRAM Lecture Slides (2018)]]
 +